Verilog编程小课堂009-realtime与timescale

675未经授权,禁止转载
编程芯片FPGA芯片设计vrl log时间变量real time仿真时间时间单位纳秒皮秒仿真精度time scale函数仿真与cpu时间
本视频主要介绍了VRL log中的时间变量,特别是real time变量的使用方法和注意事项。通过代码示例,讲解了如何将仿真时间赋值给变量,并展示了时间单位和精度的设置。同时,对比了real time和time变量的区别,以及仿真时间与CPU时间的不同概念。适合对VRL log编程和仿真时间管理感兴趣的技术人员学习。
讨论{{interaction.discussNum ? '(' + interaction.discussNum + ')' : ''}}
ad
发布
头像

{{ item.user.nick_name }} {{ EROLE_NAME[item.user.identity] }}

置顶笔记
讨论图
{{ item.create_time }}回复
  • 删除

    是否确认删除?

    确认
    取消
  • {{ item.is_top == 1 ? '取消置顶' : '置顶'}}

    已有置顶的讨论,是否替换已有的置顶?

    确认
    取消
{{ tag.text}}
头像
{{ subitem.user.nick_name }}{{ EROLE_NAME[subitem.user.identity] }}
{{ subitem.create_time }}回复
删除

是否确认删除?

确认
取消
发布
{{pageType === 'video' ? '讨论区抢占沙发,可获得双倍学分' :'讨论区空空如也,你来讲两句~'}}
发布
{{tips.text}}
{{ noteHeaderTitle }} 笔记{{ hasMyNote ? '我的笔记' : '记笔记' }}
{{ hasMyNote ? '我的笔记' : '记笔记' }}
优质笔记
更新于:{{ $dayjs.formate('YYYY-MM-DD HH:mm:ss', item.last_uptime*1000) }}
头像
{{ detail.username }}

公开笔记对他人可见,有机会被管理员评为“优质笔记”

{{ noteEditor.content.length }}/2000

公开笔记
保存
讲师头像
老肖谈芯
聊点专业知识,如有建议,理性讨论;如有争执,那您说得对。
TA的视频
接下来播放:
自动连播